Practical Low Power Digital VLSI Design

Practical Low Power Digital VLSI Design
Author :
Publisher : Springer Science & Business Media
Total Pages : 222
Release :
ISBN-10 : 9781461560654
ISBN-13 : 1461560659
Rating : 4/5 (54 Downloads)

Book Synopsis Practical Low Power Digital VLSI Design by : Gary K. Yeap

Download or read book Practical Low Power Digital VLSI Design written by Gary K. Yeap and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 222 pages. Available in PDF, EPUB and Kindle. Book excerpt: Practical Low Power Digital VLSI Design emphasizes the optimization and trade-off techniques that involve power dissipation, in the hope that the readers are better prepared the next time they are presented with a low power design problem. The book highlights the basic principles, methodologies and techniques that are common to most CMOS digital designs. The advantages and disadvantages of a particular low power technique are discussed. Besides the classical area-performance trade-off, the impact to design cycle time, complexity, risk, testability and reusability are discussed. The wide impacts to all aspects of design are what make low power problems challenging and interesting. Heavy emphasis is given to top-down structured design style, with occasional coverage in the semicustom design methodology. The examples and design techniques cited have been known to be applied to production scale designs or laboratory settings. The goal of Practical Low Power Digital VLSI Design is to permit the readers to practice the low power techniques using current generation design style and process technology. Practical Low Power Digital VLSI Design considers a wide range of design abstraction levels spanning circuit, logic, architecture and system. Substantial basic knowledge is provided for qualitative and quantitative analysis at the different design abstraction levels. Low power techniques are presented at the circuit, logic, architecture and system levels. Special techniques that are specific to some key areas of digital chip design are discussed as well as some of the low power techniques that are just appearing on the horizon. Practical Low Power Digital VLSI Design will be of benefit to VLSI design engineers and students who have a fundamental knowledge of CMOS digital design.


Practical Low Power Digital VLSI Design Related Books